毕业论文

打赏
当前位置: 毕业论文 > 计算机论文 >

FPGA实现以太网MAC控制器数据接收模块设计(2)

时间:2022-04-14 22:43来源:毕业论文
7 2。2。3 MAC 工作模型 9 第三章 以太网 MAC 模块功能分析 11 3。1 以太网 MAC 控制模块。。。。。。。。。。。。。。。。。。11 3。2 以太网 MAC 控制器媒体无

7

2。2。3 MAC 工作模型 9

第三章 以太网 MAC 模块功能分析 11

3。1 以太网 MAC 控制模块。。。。。。。。。。。。。。。。。。11 

3。2 以太网 MAC 控制器媒体无关接口模块 11

3。2。1  媒体无关接口模块 12

3。2。2  控制模块管理数据帧。。。。12 

3。3 以太网 MAC 控制器发送端模块。。。。。。。。。。。。。。。。。。。。。。12 

3。3。1  发送端生成模块13 

3。3。2  随机数生成模块。。。13 

3。3。3  发送端计数器模块 13

3。3。4  发送状态机模块 13

3。4 以太网 MAC 控制器数据接收模块 16

3。4。1  接收端数据状态模块 16

3。4。2  数据接收端计数器 17

3。4。3  接收端 CRC 校验模块。。17 

3。4。4  接收端地址检查模块 18

3。5  时钟模块 18

3。6  主机接口模块 18

3。7  人机交互界面 18

第四章 以太网 MAC 控制器的程序实现 。。。19 

4。1 以太网 MAC 控制器程序的整体设计。19 

4。2 数据接收端程序的实现。。21 

4。2。1 Broadcast_filter 模块程序的实现。22 

4。2。2 CRC_chk 模块程序的实现23 

4。2。3 MAC_rx_add_chk 模块程序的实现。24 

4。2。4 MAC_rx_FF 模块程序的实现。。26 

4。2。5 AC_rx_ctrl 模块程序的实现。28 

4。3 接收模块工作流程。30 结语。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。32 致谢 33

参考文献 34

第一章 绪论 

本篇论文给出了使用 FPGA 技术实现互联网的接入底层——以太网 MAC 数 据传输控制器的设计方法,并设计出了符合电气和电子工程师协会(IEEE)802。3 标准的 MAC 控制器,通过 802。3 标准定义的媒体无关接口(MII )与以太网物 理层(PHY)相连接。 论文网

1。1系统开发背景 

计算机网络技术发展伊始,为了实现不同部门的数据共享,人们开发了多 种局域网技术,其中以太网技术以其简单和灵活的特性在局域网应用中得到了快 速的发展。20 世纪 70 年代,Xerox 公司开发出带有冲突检测的载波侦听多路访

问(CSMA/CD)信息的数据传输协议 [1]。在 1980 年,电气和电子工程师协会(IEEE) 根据以太网技术制定了以太网标准(IEEE 802。3)。随着网络通信技术的发展, 到 20 世纪 90 年代,IEEE 又发布了吉比特规范标准的 IEEE 802。3ab/IEEE 802。3z, 从此以太网的速率提高到千兆。 

自从 Xilinx 公司在 1985 年推出现场可编程逻辑(FPGA)至今,FPGA 技术 已经取得了飞速的发展。从当初的 1000 多个可利用门发展到后来的有几十万个 可利用门,到现今的拥有数百万可利用门的 FPGA 芯片,FPGA 拥有巨大的市场 吸引力[2]。FPGA 不仅克服了产品不能小型化,产品高功耗和可靠性不高等问题, 还具有研发周期短,价格合理等优点。目前,FPGA 已逐渐取代了传统的 ASIC 电路。国际上各大硬件公司为了更大的扩充市场,都在积极开发新型芯片,以更 好的满足用户的需求。  FPGA实现以太网MAC控制器数据接收模块设计(2):http://www.youerw.com/jisuanji/lunwen_92595.html

------分隔线----------------------------
推荐内容