1.2  可编程逻辑器件 CPLD的简介 CPLD(Complex Programmable Logic Device,复杂可编程逻辑器件)是一种规模大、结构复杂的大规模集成电路。用户可以根据自身的需求从而自行构造 CPLD 的逻辑功能,他的设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆将代码传送到目标芯片中,实现设计的数字系统[2]。
CPLD 集成度高、编程灵活、适用范围宽、设计开发周期短、设计成本低、开发工具先进、对设计者的硬件设计要求低、价格大众化、标准产品无需测试、保密性强等特点,可实现较大规模的电路设计,因此 CPLD能应用到广泛的原型设计和产品生产之中。几乎所有可以应用到中小规模的通用数字集成电路的场合均可应用 CPLD 器件。CPLD 现在是电子产品不可或缺的一部分,它是电子工程师设计和应用的必备技能之一。 CPLD 在近 20 年的时间里已经获得了巨大的发展,在将来的发展中,CPLD 向大规模、高集成度、低电压、低功耗、高度运行等等方向进一步发展。而它的快速发展大大促进了电子科学技术的进步。目前 CPLD 已经深入网络、数控机床、仪器仪表、汽车电子、航天测控设备等方面。 1.3  硬件描述语言Verilog HDL的简介 Verilog HDL 是一 种起源于 C 语言的硬件描述语言,它是 1983 年有 GDA 公司的 Phil Moorby所创。用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模[3,4]。 Verilog HDL语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。 Verilog HDL语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义。因此,用这种语言编写的模型能够使用 Verilog仿真器进行验证。语言从 C 编程语言中继承了多种操作符和结构。 Verilog HDL提供了扩展的建模能力,其中许多扩展最初很难理解。但是,Verilog HDL语言的核心子集非常易于学习和使用,这对大多数建模应用来说已经足够。当然,完整的硬件描述语言足以对从最复杂的芯片到完整的电子系统进行描述。

上一篇:光热调制裂纹张合的激光超声检测研究
下一篇:织物光电探偏探边系统设计实现

重新认识自然,迎接新的...

略论西部大开发中石油和...

氯碱定价方法和价格走势分析【1841字】

担子菌和子囊菌交配型基...

土地整理中的资源条件和效益分析【2483字】

二三维一体化CAD系统中工...

中國科學基金的现状和挑战【3477字】

医院财务风险因素分析及管理措施【2367字】

神经外科重症监护病房患...

C#学校科研管理系统的设计

公寓空调设计任务书

AT89C52单片机的超声波测距...

志愿者活动的调查问卷表

中国学术生态细节考察《...

国内外图像分割技术研究现状

承德市事业单位档案管理...

10万元能开儿童乐园吗,我...