第二章  波形发生器系统设计

2。1 Quartus II软件综述

此次的毕业设计,是基于Altera公司的Quartus II综合性PLD开发软件。它是EDA工具软件的一种,可以支持许多使用方法,例如原理图输入法、硬件描述语言VHDL和硬件描述语言VerilogHDL[9]。

随着时代的发展以及科学技术的快速进步,使得数字电子技术的研究和应用出现了前所未有的广阔的发展空间。然而Quartus II软件又是一种可编程逻辑的设计环境,因为其强大的设计能力和易于使用的直观界面,而受到越来越多的设计人员的喜爱[10]。

Quartus II软件目前经过不断升级,已经有了很多版本,然而并不是所有的版本都是适合一个初学者的使用。有些版本存在着漏洞或者弊端,所以初学者无法深入学习该设计软件。因此本次设计本文中使用的是Quartus II9。0(32位)版本。

上一篇:单乘神经元模型的非线性时间序列预测
下一篇:Matlab非线性时延网络控制系统输出反馈控制器设计

SPCE061A基于DDS的正弦信号发生器设计+PCB电路图

STC5A60S2的信号发生器设计+电路仿真图

DDS+FPGA多功能波形模拟器设计与仿真+电路图

基于FPGA的DDS函数信号发生器设计

ARM控制DDS频率发生器的电路与软件设计

STC89C52RC单片机数字移相正...

AT89C52单片机基于max038的信号发生器设计+程序

老年2型糖尿病患者运动疗...

安康汉江网讯

张洁小说《无字》中的女性意识

新課改下小學语文洧效阅...

网络语言“XX体”研究

我国风险投资的发展现状问题及对策分析

LiMn1-xFexPO4正极材料合成及充放电性能研究

麦秸秆还田和沼液灌溉对...

ASP.net+sqlserver企业设备管理系统设计与开发

互联网教育”变革路径研究进展【7972字】