菜单
  

    ③    VHDL的运算操作符
    VHDL的表达式是由基本元素组成,由运算操作符连接的式子。它的运算符可以分为四组:算数运算符、关系运算符、逻辑运算符以及其他运算符。
    ④    VHDL的主要描述语句
    VHDL常用语句有并行语句和顺序语句两种。并行语句描述的是功能相互独立的电路模块,靠信号连接各个功能块,它的先后顺序与结果无关;顺序语句只在进程、函数及过程中使用,它描述的是具有控制能力的电路。
    1.3.2  VHDL语言的基本结构
    在VHDL中,一个完整的VHDL程序通常也称为一个设计实体,它由实体(entity)、结构体(architecture)、配置(configuration)、程序包(package)和库(library)五个部分组成。[15]结构图如图1.1所示。对于一个VHDL程序来说,不管是复杂的设计实体还是简单的设计实体,都必须包括实体和结构体两个部分。
  1. 上一篇:基于信号干扰技术的宽阻带滤波器的设计
  2. 下一篇:HFSS的C波段圆极化微带天线设计
  1. VHDL+EDA波形发生器设计

  2. STM32基于WIFI通信的数字温湿度监测系统设计

  3. STC89C51单片机多功能数字频率计的设计+电路图

  4. 数字音频流的FPGA的AES流编解码监测系统设计

  5. Arduino的数字示波器设计+程序

  6. 数字图像的自动识别技术研究MATLAB仿真

  7. 数字图像处理的煤气表字符识别的研究

  8. 中国传统元素在游戏角色...

  9. 现代简约美式风格在室内家装中的运用

  10. 高警觉工作人群的元情绪...

  11. g-C3N4光催化剂的制备和光催化性能研究

  12. NFC协议物理层的软件实现+文献综述

  13. 江苏省某高中学生体质现状的调查研究

  14. C++最短路径算法研究和程序设计

  15. 上市公司股权结构对经营绩效的影响研究

  16. 浅析中国古代宗法制度

  17. 巴金《激流三部曲》高觉新的悲剧命运

  

About

优尔论文网手机版...

主页:http://www.youerw.com

关闭返回