菜单
  

        2)设计输入
       根据模块所要完成的功能设计出原理图,通过原理图输入就可以比较直接的完成设计,在早期FPGA所要完成的功能还不是很复杂的时候它的应用是比较广泛的,但是随着FPGA的发展它设计效率低下,不易文护的缺点便会显现出来。所以目前在实际的开发中一般都会采用硬件语言描述来进行模块的设计。在中大型工程中,Verilog HDL和VHDL这两种语言的应用最为常见。利用这两种语言的好处在于,可以不考虑芯片的类型它们在不同的芯片上也可以比较轻松地实现模块的划分和移植,同时它们具有很强的逻辑描述和仿真功能,以及很高的输入效率。
    3)功能仿真
       功能仿真就是对一些基本的功能进行仿真,它是在编译之前对所设计出来的电路进行仿真,主要的目的在于验证其逻辑功能是否正确,在整个仿真的过程中不包含延迟信息。
    4)综合优化
       就是将高级语言的描述转化成为硬件的底层语言。综合优化的目的在于使层次设计平面化,在综合优化之后FPGA的布局布线就会更易实现。
    5)综合后仿真
       综合后仿真的目的在于检验仿真出的结果和原设计想要得到的结果是否相同。在仿真时,把综合生成的标准延时文件反标注到综合仿真模型中去,可估计门延时带来的影响。
    6)实现与布局布线
       布局布线就是利用实现工具把逻辑在目标器件的资源中进行映射,从而决定逻辑的最佳布局,之后就可以将综合生成的逻辑网配置到具体的FPGA芯片中。
    7)时序仿真
       是指将布局布线的延迟信息反标注到设计网表中来检测有无时序违规。时序仿真包含的延迟信息最全,也最精确,能较好地反映芯片的实际工作情况。
    8)板级仿真和验证
       板级仿真主要应用在高速电路设计中,一般都以第三方工具进行仿真和验证。
    9)对芯片进行编程和调试
       就是将编程的数据下载到FPGA芯片中。然后进行硬件方面的观测,这样便可以从实际的角度出发来检验设计的缺点和漏洞。
    2.2 Xilinx Virtex5 SX95T芯片简介
    FPGA采用 Xilinx新一代高端V5系列芯片,选择型号为:XC5VSX95T-1FF1136I,XC5VSX95T 具有逻辑模块160 x 54 最大RAM模块1120 Kb,DSP48E 640个,CMT时钟管理6个 Rocket IO GTP 16个,总IO bank 20个,最大使用IO数680个
  1. 上一篇:太赫兹波成像特性研究+文献综述
  2. 下一篇:Rsoft二维光子晶体禁带影响因素的理论研究
  1. FHA和PHA的交叉验证技术在...

  2. HFSS法拉第笼对频率选择表面性能的影响

  3. 小波分析用于图像增强的研究MATLAB仿真

  4. 灰色建模技术的通信运行指标预测

  5. 基于多指标决策的通信网...

  6. Verilog的汉明码(15,11)编解码器的设计与仿真

  7. AT89C51单片机IIC总线的监控...

  8. 现代简约美式风格在室内家装中的运用

  9. 浅析中国古代宗法制度

  10. 巴金《激流三部曲》高觉新的悲剧命运

  11. g-C3N4光催化剂的制备和光催化性能研究

  12. 高警觉工作人群的元情绪...

  13. 江苏省某高中学生体质现状的调查研究

  14. 上市公司股权结构对经营绩效的影响研究

  15. 中国传统元素在游戏角色...

  16. NFC协议物理层的软件实现+文献综述

  17. C++最短路径算法研究和程序设计

  

About

优尔论文网手机版...

主页:http://www.youerw.com

关闭返回