1.1.3  Quartus II

Quartus II 是由 Altera 公司推出的一款 FPGA 开发工具,它提供的设计环境与结构无关,设计者可以使用 Quartus II 软件完成设计流程的所有阶段,它是一个全面易用的独立解决方案。Quartus II 设计软件有着完整的多平台设计环境,可以方便地完成特定的设计,并且它提供了全面的可编程芯片系统(SOPC)的设计环境 [16]。

1.1.4  TS3点阵字库(字模)生成器

在开发嵌入式系统时,需要显示不同大小或类型字体的汉字。对于嵌入式系统,不太可能使用矢量字体,在此情况下,点阵字体的优点就体现出来了。因此,点阵字库生成器也就相应产生。

这款软件的使用需要预先下载一个字库,需要哪个汉字的点阵显示,只需要到字库中去查询即可,具有操作简单,方便简洁,支持语言种类多的优点。并且能够根据需要,调整点阵的大小,例如可以生成16*16点阵的‘南’字[18]。

 ‘南’的点阵图

如图1.1,‘南’字显示为16行,16列,刚好组成一个16*16的点阵,若要改变点阵大小,只需在设置中,改变相应的宽和高即可。

在实际生活中具有非常强大的应用性。

1.2  课题任务

1.2.1  课题任务及要求

掌握电子电路、数字信号处理等基本知识、熟悉可编程逻辑器件的本质原理,了解FPGA 的工作原理,掌握VHDL语言。

具体如下:

1、LED点阵显示电路原理的研究;

2、掌握QuartusII软件的使用,学会嵌入式逻辑分析仪SifnalTapII的调用;

3、完成显示驱动电路模型的建立与设计;

4、基于VHDL语言完成LED显示驱动电路的设计;

5、对电路系统进行编译及时序仿真,给出相应的仿真结果;

6、通过对FPGA器件的程序配置驱动LED点阵,从而完成相应字符的显示;

7、完成毕业设计论文。

1.2.2  课题功能总述 源[自[优尔^`论`文]网·www.youerw.com/

课题设计要求:完成LED点阵工作原理进行研究,完成基于EDA技术的显示驱动电路的设计,最后借助于相应的EDA软件进行系统功能仿真,并在FPGA可编程逻辑器件上输出原先存储在其中的“南,京,理,工”四个字。在此基础上能够循环显示南京理工四个汉字。

总体的方案设计:

1,预先存入四个字的信息,然后根据实验操作者的自身需求,利用四选一选择器,显示想要出现的汉字

2,能够自动显示四个汉字,先显示‘南’,经过设定的间隔时间后,显示‘京’,以此类推,分别自动显示四个汉字。

原理图:  实验的总体原理图

如图1.2所示,分别给列控制器和行控制器两个不同的信号,以保证最后能同时输出到显示器上面,同时给定显示器一个信号来驱动显示器读取数据。

1.2.3  LED模块

LED灯显示原理,发光二极管的特性,点阵如何显示:16×16点阵的显示屏上有256个发光二极管,在某一时刻LED点阵显示屏只有一行中指定的发光二极管发光。实验开发办上LED点阵显示屏采用共阴极发光二极管,LED点阵显示屏有行信号和列信号。如果要某一列的发光二极管亮,则该列驱动信号为高电平[17]。

  LED点阵模拟图

如图1. 3所示,当列信息为‘10000000000000000‘时,即读第一行信息‘1001000000000000’。 当出现其他情况时,也分别读取相应的数据。

1.2.4  74HC595驱动16*16点阵显示

74HC595引脚图,管脚图如图1.4所示:

图1.4  74HC595引脚图

上一篇:微带线带通滤波器的ADS仿真设计
下一篇:HFSS毫米波波导功率合成器的设计与仿真

5d电子体系的晶体场效应与自旋轨道耦合

基于Java的串口通信设计

基于Kinect的深度图像编码

PSpice的电容式加速度计闭环反馈控制模块设计

基于混沌的数字图像加密技术研究

HFSS频率选择表面的设计仿真与分析

基于Virtex-5FPGA的图像处理系统研究

ASP.net+sqlserver企业设备管理系统设计与开发

新課改下小學语文洧效阅...

麦秸秆还田和沼液灌溉对...

LiMn1-xFexPO4正极材料合成及充放电性能研究

互联网教育”变革路径研究进展【7972字】

老年2型糖尿病患者运动疗...

网络语言“XX体”研究

我国风险投资的发展现状问题及对策分析

安康汉江网讯

张洁小说《无字》中的女性意识