菜单
  

    (11)该软件可以为EDA软件生成所需要的Verilog和VerilogHDL网表文件。
    2.3.2   Quartus 最新发展
        Quartus II 软件的最新版本已达到了13.0,在一些设计性能方面精进了近三倍,而在编译方面较之于先前的12.0,速度快了近三成。这是较直观的几个评价因素里考察下来提升得十分迅猛的两个点。此外,新版本还同时支持八内核的多处理器,表现出比竞品在逻辑封装方面更强的能力。向我们展示了业界目前最佳的硅片。它也有助于我们简化软件、器件的一系列安装过程,提升了开发编译的效率的同时也为很多器件提供了编程器件目标文件实现的技术支持。
    2.4  Modelsim简介
        要说起VerilogHDL乃至整个硬件描述语言的仿真软件,业界内做得最为成功的非Mentor公司的ModelSim 莫属了。它可以为我们提供最为全面完善的高性能验证功能并提供给我们在用户体验方面做得非常好的仿真环境,并全面支持业界内广泛的标准。目前,它有很多个版本,比如:LE、PE、OEM和SE等等,不同版本间存在着较为明显的梯度差异。就SE与OEM就在性能、速度、功能覆盖面等较多方面都有很大的差别,以代码行数低于四万行的设计为例,SE比XE的仿真速度快十多倍,而对于行数更多的代码而言,它的速度更是提高到了快三十多倍,这将是一个较为惊人的数字,然而代码的编译与所在的平台并没有什么关系,是有能够拥有个性化的图形界面和良好用户体验接口才是在FPGA or ASIC的相关编译设计中将仿真软件成为首选的最关键因素。然而对于集成在 诸多FPGA厂商的设计工具中的都为ModelSimOEM版本。同时,它也支持UNIX、PC和LINUX的各类交互平台,这些足以在这方面体现新版本的很多相关优势。
       作为整个业界内目前唯一的一个支持Verilog与VHDL这2种硬件描述语言的单内核仿真的仿真器,ModelSim采用了直接优化的编译技术:Tcl技术以及单一的内核逻辑仿真技术,这使得项目程序在最终的编译仿真时速度表现得非常迅速,可以使用户在调试错误上提供强有力的硬件技术支持,同时也能够方便顺利地保护IP核,这些优势体现了Mentor Graphics公司所提供的业界最好的技术支持与服务,这些足以使其在这一领域很好地坐稳自己的位置。
     2.3   Modelsim软件开发流程
    2.4.1   Modelsim主要特点
    (1)Modelsim编译仿真的速度非常快而且能支持不同版本和不同平台上的仿真。
    (2)Modelsim采用的是单内核VHDL和Verilog两种语言的混合性仿真并且采用本地编译设计结构。
    (3)Modelsim集成了性能稳定性强、波形直观、代码覆盖率高、数据流充沛、以及在虚拟对象Virtual  Object、assertion 窗口、Chasex、Signal  Spy、Memory 窗口、信号条件判断等诸多点上实现调试功能。
    (4)Modelsim可以和硬件描述语言随意地混合使用而且直接支持SystemC。
    (5)Modelsim支持RTL和门级优化,可以支持SystemVerilog的各类设计功能。
    (6)Modelsim对系统级别的硬件描述语言提供最为全方位的技术平台支持。
  1. 上一篇:51单片机简易酒精检测仪的设计与实现+PCB电路图
  2. 下一篇:SIMULINK精馏控制系统设计与仿真
  1. ZigBee智能煤气表的设计

  2. STC89C52单片机的智能红外报...

  3. IAP15F2K60S2单片机智能数字收音机设计

  4. Zigbee无线智能园圃浇灌设备设计+源代码

  5. PLC的智能大棚控制系统设计+梯形图

  6. PLC全自动封闭式超大型纸...

  7. HL-1底盘arduino的智能小车设计+电路图

  8. 高警觉工作人群的元情绪...

  9. 巴金《激流三部曲》高觉新的悲剧命运

  10. 上市公司股权结构对经营绩效的影响研究

  11. 现代简约美式风格在室内家装中的运用

  12. 中国传统元素在游戏角色...

  13. C++最短路径算法研究和程序设计

  14. 江苏省某高中学生体质现状的调查研究

  15. 浅析中国古代宗法制度

  16. g-C3N4光催化剂的制备和光催化性能研究

  17. NFC协议物理层的软件实现+文献综述

  

About

优尔论文网手机版...

主页:http://www.youerw.com

关闭返回