(3)Quartus II支持模块化配置,支持多种硬件描述语言,比如Verilog HDL,VHDL,AHDL等等。不仅支持多种硬件描述语言,而且还支持原理图的设计。Quartus II 这样的多平台的集成的特点,也使其功能的强大成为必然。

(4)Quartus II 支持的器件种类各种各样,比如MAX9000,HardCopy等等,我们这里不细述。

(5)还有一个非常重要的特点就是,Quartus II可以关联第三方工具,比如ModelSim等,方便综合和图形仿真。这里的意义可以用一个成语来概括,那就是强强联手。

(6)Quartus II对于引脚的分配和时序约束相比较其他的软件来说,较为简单。

Quartus II的特点还有许多,这里就不细述了,本文挑了几条重要的特点来讲述,以展示其强大的功能。

2。1。2 Quartus II 的开发流程

Quartus II是一个多元化的开发环境,可以轻松满足设计者的需求。使用Quartus II,设计者可以依据个人的需要,自由施展自己的才能,创建和设计自己想要的功能模块。图2-2就是其开发流程。

(1)设计输入的方式有很多。可以根据自己的需要,使用不同的设计输入方式。用户可以通过模块输入方式,文本输入方式,Core输入方式以及EDA设输入工具等来表达自己的设计思路[5]。设计思路也有很多方法,如自顶向下和自底向上。

图2-2 Quartus II软件的开发流程

(2)综合就是将设计者输入的原理图或者输入的硬件描述语言翻译成基本的逻辑单元,并根据要求实现标注的网络表文件。也可以使用第三方EDA综合软件实现。

(3)布线布局就是使用综合生成的网络表文件将建立的工程中的逻辑和时序与可用资源优化分配[6]。时序分析后得到的信息可以用来检测,调试和验证时序的正确性。

(4)一般情况下,仿真有功能仿真,还有时序仿真。两者都是在综合,布线布局的基础上完成的。仿真的必要性在于,前两者只能证明语法的正确性,但是不能确定功能是否实现,结果与预想的是否一致。指定人员可以用Quartus II自带的仿真工具,也可以用Quartus II的插件工具。

(5)编程和配置是在成功编译,并且功能均与预期的设计要求一致之后完成的[7]。完成之后就可以进行板级调试了。

2。2 Quartus II 的使用

上文已经提过了,Quartus II中的设计输入有很多种方式,比如原理图输入,VHDL输入,AHDL输入以及Verilog HDL输入等等。所以,Quartus II的使用大体分为两种,原理图编辑和文本编辑。由于本次是使用Verilog这种硬件描述语言来完成所需功能的,所以,这里重点讲述文本编辑方法。使用ModelSim仿真的介绍在下一部分描述。

(1)新建工程:首先,点击Quartus II的快捷方式,映入眼帘的是用户使用界面。一般情况下都需要先建立新的工程。选择菜单栏中的File,在其中找到“New Project Wizard”,并选择它,会出来一个对话框,这个对话框的内容是对于新建工程向导的介绍。新建工程向导可以帮助使用者创建新工程并可以对工程进行初始设置。这些设置包括:工程名称,工程的文件夹位置,顶层文件名称,目标元器件以及EDA工具的设置。然后点击“Next”进行下一步骤。

制定相关信息:点击“Next”,在此对话框中,从上至下分别输入新建工程所在文件夹的名称,新建工程名称以及顶层实体的名字。此时要注意,工程名要个和顶层实体的名字一致。填写好之后,再次点击“Next”。

添加文件:如果此工程里面包含其他设计文件,要向工程里面添加文件。需要添加可以在“File name”这一栏中选择所需文件,或者单击“Add All”按钮添加该目录下所有文件。如果没有需要添加的文件,此过程可以忽略,直接单击“Next”即可。文献综述

上一篇:AT89C51单片机IIC总线的监控系统仿真设计+PCB电路图
下一篇:Verilog的汉明码(15,11)编解码器的设计与仿真

VHDL+EDA波形发生器设计

VHDL数字电压表设计+仿真图

VHDL直接数字频率合成器DDS设计MATLAB仿真

VHDL基于状态机技术的多功能计时器设计

VHDL+FPGA的高阶FIR滤波器设计+程序

VHDL+FPGA的DDR控制器设计

EDA的CPLD的三层电梯控制器的设计+VHDL程序

ASP.net+sqlserver企业设备管理系统设计与开发

新課改下小學语文洧效阅...

安康汉江网讯

LiMn1-xFexPO4正极材料合成及充放电性能研究

互联网教育”变革路径研究进展【7972字】

老年2型糖尿病患者运动疗...

我国风险投资的发展现状问题及对策分析

麦秸秆还田和沼液灌溉对...

张洁小说《无字》中的女性意识

网络语言“XX体”研究